Imininingwana eminingana ye-processor overulsing

Pin
Send
Share
Send

Ukungena ngaphezulu kwe-processor kuyindaba elula, kepha kudinga ulwazi nokuqapha okuthile. Indlela enekhono kulesi sifundo ikuvumela ukuthi uthole amandla omuhle wokusebenza, kwesinye isikhathi okushoda kakhulu. Kwezinye izimo, ungasebenzisa i-processor ngokusebenzisa i-BIOS, kepha uma ngabe lesi sici asitholakali noma ufuna ukukhohlisa ngokuqondile ngaphansi kweWindows, ke kungcono ukusebenzisa isoftware ekhethekile.

Enye yezinhlelo ezilula nezisendaweni yonke yi-SetFSB. Kuhle ngoba kungenzeka ukuthi kusetshenziswe ngaphezulu kwe-intel core 2 duo processor kanye namamodeli amadala afanayo, kanye ne-processor ehlukahlukene yanamuhla. Umgomo wokusebenza kwalolu hlelo ulula - inyusa imvamisa yebhasi yohlelo ngokusebenza ku-chip ye-PLL efakwe kubhodi lomama. Ngokuvumelana nalokho, konke okudingekayo kuwe ukwazi uhlobo lwebhodi lakho futhi uhlole ukuthi ngabe lufakiwe ohlwini lwabasekelwayo.

Landa i-SetFSB

Ihlola ukwesekwa kwebhodi lomama

Okokuqala udinga ukuthola igama lebhodi. Uma ungeyena umnikazi wedatha enjalo, bese usebenzisa isoftware ekhethekile, isibonelo, uhlelo lwe-CPU-Z.

Ngemuva kokunquma uhlobo lwebhodi, iya kuwebhusayithi esemthethweni yohlelo lwe-SetFSB. Idizayini lapho, ukuyibeka ngobumnene, ayiyona enhle kakhulu, noma kunjalo, lonke ulwazi oludingekayo lukhona. Uma ibhodi lisohlwini lwabasekelwayo, singakwazi ukuqhubeka nokuqhubeka.

Download Izici

Izinhlobo zakamuva zalolu hlelo, ngeshwa, zikhokhelwa inani labantu abakhuluma isiRussia. Udinga ukufaka cishe u- $ 6 ukuze uthole ikhodi yokuvula.

Kukhona futhi okunye - landa uhlobo oludala lohlelo, sincoma inguqulo 2.2.129.95. Ungakwenza lokhu, ngokwesibonelo, lapha.

Ukufaka uhlelo nokulungiselela ukwenziwa ngokweqile

Uhlelo lusebenza ngaphandle kokufakwa. Ngemuva kokuqala, leli windi lizovela phambi kwakho.

Ukuze uqale ukusatshalaliswa ngokweqile, okokuqala kufanele wazi iwashi lakho (i-PLL). Ngeshwa, ukumazi akulula. Abanikazi bamakhompiyutha bangasabalalisa insimbi yohlelo futhi bathole imininingwane edingekayo ngesandla. Le datha ibukeka kanjena:

Izindlela zokuthola isoftware ye-chip ye-PLL

Uma une-laptop noma ungafuni ukusabalalisa i-PC yakho, khona-ke kukhona ezinye izindlela ezimbili zokuthola i-PLL yakho.

1. Iya lapha ubheke ilaptop yakho etafuleni.
2. I-SetFSB izosiza ukucacisa ukuqina kwe-chip ye-PLL uqobo.

Masihlale phezu kwendlela yesibili. Shintshela ku- "Ukuxilongwa"ohlwini olwehliswayo"I-Clock generator"khetha"Ukuxilongwa kwe-PLL", bese uchofoza ku"Thola i-fsb".

Siyehlela egangeni "Amarejista wokuLawula we-PLL"futhi ubone itafula lapho. Sibheke ikholomu 07 (le yi-ID yomthengisi) bese sibheka inani lomugqa wokuqala:

• uma inani liyi-xE - khona-ke i-PLL evela eRealtek, ngokwesibonelo, i-RTM520-39D;
• uma inani lingu-x1 - bese i-PLL ivela ku-IDT, isibonelo, ICS952703BF;
• uma inani lingu-x6 - bese i-PLL ivela ku-SILEGO, ngokwesibonelo, i-SLG505YC56DT;
• uma inani lingu-x8 - khona-ke i-PLL ivela kuma-Silicon Labs, ngokwesibonelo, CY28341OC-3.

x yinoma iyiphi inombolo.

Kwesinye isikhathi okungahle kwenzeke, ngokwesibonelo, ngama-chips avela kuma-Silicon Labs - kulokhu, umazisi we-Vendor ngeke atholakale endaweni yesikhombisa (07), kodwa kwesithupha (06).

Vikela ukuvikela okwenziwe ngaphezulu

Ukuthola ukuthi ngabe kukhona ukuvikelwa kwehadiwe ekuqhathweni ngokweqile kwesoftware, ungakwenza lokhu:

• sibheka endle "Amarejista wokuLawula we-PLL"kukholamu 09 bese uchofoza inani lomugqa wokuqala;
• sibheka endle "Ibha"futhi sithola okwesithupha kule nombolo. Qaphela ukuthi ukubalwa kwe-bit kumele kuqale kusuka kokunye! Ngakho-ke, uma uhlobo lokuqala luyi-zero, inani lesikhombisa lizoba isibalo sesithupha;
• uma kancane kwesithupha kungu-1, khona-ke ukuthola okwenziwa ngokweqile ngeSetFSB, imodi yehardware ye-PLL (TME-mod) iyadingeka;
• uma i-bit yesithupha ingu-0, imodi ye-Hardware ayidingeki.

Ukufika kuma-Overclocking

Yonke imisebenzi nohlelo izokwenzeka kuthebhu "Ukulawula"Ensimini"I-Clock generator"khetha i-chip yakho bese uqhafaza kuyo"Thola i-fsb".

Engxenyeni engezansi yewindows, ngakwesokudla, uzobona imvamisa ye-processor yamanje.

Sikukhumbuza ukuthi ukwenziwa ngokweqile kwenziwa ngokwandisa imvamisa yebhasi yohlelo. Lokhu kwenzeka ngaso sonke isikhathi lapho uhambisa islayidi maphakathi ngakwesokudla. Zonke ezinye izindlela zokumisa zisale njengoba zinjalo.

Uma udinga ukwandisa ububanzi bokulungiswa, hlola ibhokisi eliseduze "I-Ultra".

Kungcono ukwandisa imvamisa ngokucophelela, ku-10-15 MHz ngesikhathi.


Ngemuva kokulungiswa, chofoza inkinobho "SetFSB".

Uma ngemuva kwalokho i-PC yakho iqina noma ivale, kunezizathu ezimbili zalokhu: 1) uchaze i-PLL engalungile; 2) ikhuphule kakhulu imvamisa. Yebo, uma konke kwenziwa kahle, khona-ke imvamisa yokuprosesa iyokhula.

Yini okufanele uyenze ngemuva kokuhlinzwa ngokweqile?

Sidinga ukuthola ukuthi ikhompiyutha yakho izinzile kangaki kumvamisa entsha. Lokhu kungenziwa, ngokwesibonelo, emidlalweni noma ezinhlelweni zokuhlola ezikhethekile (Prime95 noma abanye). Qapha futhi izinga lokushisa, ukuze uvikele ukushisa okungenzeka lapho umthwalo ku-processor. Ngokuhambisana nezivivinyo, sebenzisa uhlelo lokuqapha amazinga okushisa (CPU-Z, HWMonitor noma abanye). Ukuhlolwa kwenziwa kangcono cishe imizuzu eyi-10-15. Uma konke kusebenza ngokuqinile, khona-ke ungahlala kwimvamisa entsha noma uqhubeke ukuyikhulisa, wenza zonke izenzo ezingenhla embuthanweni omusha.

Ungayenza kanjani i-PC ukuthi iqale ekuqaleni imvamisa entsha?

Kufanele usuvele wazi ukuthi uhlelo lusebenza imvamisa entsha kuphela kuze kuqalise kabusha. Ngakho-ke, ukuze ikhompyutha ihlale iqala njalo ngefrikhwensi yebhasi yohlelo olusha, kubalulekile ukubeka uhlelo ekuqaleni. Lokhu kuyadingeka uma ufuna ukusebenzisa ikhompyutha eyeqile ngokweqile. Kodwa-ke, kulokhu ngeke kube ngumbuzo wokungeza uhlelo ku-Startup folda. Kukhona indlela yokwenza lokhu - ukudala umbhalo we-bat.

Ivula "Incwajana", lapho sizokwakha khona umbhalo. Sibhala umugqa lapho, okuthile okufana nalokhu:

C: Desktop SetFSB 2.2.129.95 setfsb.exe -w15 -s668 -cg [ICS9LPR310BGLF]

QAPHELA! UNGAQHATHA LONTO! Kufanele uthole okwehlukile!

Ngakho-ke, sikuhlukanisa:

C: Ideskithophu SetFSB 2.2.129.95 setfsb.exe indlela eya emsebenzini ngokwayo. Ungahlukanisa phakathi kwendawo nenguqulo yohlelo!
-w15 - ukubambezeleka ngaphambi kokuqala uhlelo (kulinganiswe ngemizuzwana).
-s668 - Ukulungiselela okwenziwe ngokweqile. Inombolo yakho izohluka! Ukuthola, bheka insimu eluhlaza okwesibhakabhaka kuthebhu yokulawula yohlelo. Kuzoba nezinombolo ezimbili ezikhonjwe yi-slash. Thatha inombolo yokuqala.
-cg [ICS9LPR310BGLF] imodeli ye-PLL yakho. Le datha ingahle ihluke kuwe! Kumabakaki ayisikwele udinga ukufaka imodeli ye-PLL yakho njengoba ichaziwe ku-SetFSB.

By the way, kanye ne-SetFSB uqobo uzothola i-file file setfsb.txt, lapho ungathola khona amanye amapharamitha futhi uwasebenzise uma kunesidingo.

Ngemuva kokuthi umugqa wenziwe, gcina ifayili njenge .bat.

Isinyathelo sokugcina - engeza i-bat ukuqalisa ngokuhambisa isinqamuleli ku- "I-Autoload"noma ngokuhlela irejista (le ndlela uzothola ku-inthanethi).

Kulesi sihloko, sihlole ngokuningiliziwe indlela yokwenza i-processor efanele kahle usebenzisa uhlelo lwe-SetFSB. Le yinqubo ebangela izinhlungu, ekugcineni ezonikeza ukwanda okubonakalayo kokusebenza kweprosesa. Siyethemba uzophumelela, futhi uma unemibuzo, ubabuza kumazwana, sizowaphendula.

Pin
Send
Share
Send